VHDL Übung 6 - Clicker

URL: ../..dic/programmierbare_logik/uebung6.html

VHDL Übung 6 - Clicker

Übungsaufgabe link symbol

In dieser Übung wird das BASYS2 Board verwendetseverity symbol

Für weitere Fragen zum Board bitte das Manual konsultieren.

  • Definition einer Zustandmaschine
  • Integration der Komponenten im Top Level

Vorbereitung link symbol

  • Projektordner herunterladen und entpacken
  • Projekt clicker.xise öffnen

Aufbau des Top Levels link symbol

Spezifikation link symbol

Im ersten Schritt soll ein Design erstellt werden, das über zwei Tasten angesteuert wird:

  • tap - Mit dieser Taste wird das Spiel gestartet und während des Spiels werden die Tastendrücke gezählt
  • reset - Diese Taste führt zum Spielanfang

Das Spiel kennt drei Zustände:

  • CLEARED - Der initiale Zustand, alle Zähler werden gelöscht. Der Tastendruck von reset führt immer hierher.
  • RUNNING - Das Spiel läuft für 60 Sekunden. Die Anzahl der Tastendrücke von tap wird mitgezählt.
  • STOPPED - Nach den 60 Sekunden werden die Zähler angehalten (und somit das Ergebnis angezeigt)

Für dieses Spiel lassen sich viele Komponenten von Übung 5 (Stoppuhr) wiederverwenden.

Implementierung link symbol

Im folgenden Bild ist die gesamte Schalung und das Zustandsdiagramm zu sehen. Das Ziel ist eine Implementierung im Top Level. Orientierung bietet Übung 5.

Blockschaltbild und FSM